Home > Research > Researchers > Dr Dinesh Pamunuwa > Publications

Dr Dinesh Pamunuwa

Formerly at Lancaster University

  1. 2010
  2. Published

    On signalling over through-silicon via (TSV) interconnects in 3-D integrated circuits.

    Weerasekera, R., Grange, M., Pamunuwa, D. B. & Tenhunen, H., 03/2010, p. 1325-1328. 4 p.

    Research output: Contribution to conference - Without ISBN/ISSN Conference paperpeer-review

  3. 2009
  4. Published

    Compact modelling of through-silicon vias (TSVs) in three-dimensional (3-D) integrated circuits.

    Weerasekera, R., Grange, M., Pamunuwa, D. B., Tenhunen, H. & Zheng, L-R., 10/2009, Proceedings of the IEEE International Conference on 3D System Integration (3D IC), 2009. San Francisco: IEEE

    Research output: Contribution in Book/Report/Proceedings - With ISBN/ISSNChapter

  5. Published

    2-D and 3-D Integration of Heterogeneous Electronic Systems under Cost, Performance and Technological Constraints

    Weerasekera, R., Pamunuwa, D., Zheng, L-R. & Tenhunen, H., 08/2009, In: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 28, 8, p. 1237-1250 14 p.

    Research output: Contribution to Journal/MagazineJournal article

  6. Published

    Scalability of Network-on-Chip communication architecture for 3-D meshes.

    Weldezion, A. Y., Grange, M., Pamunuwa, D. B., Lu, Z., Jantsch, A., Weerasekera, R. & Tenhunen, H., 12/06/2009, Proc. ACM/IEEE International Symposium on Networks on Chip (NOCS). San Diego: IEEE, p. 114-123 10 p.

    Research output: Contribution in Book/Report/Proceedings - With ISBN/ISSNChapter

  7. Published

    Bandwidth optimization for through silicon via (TSV) bundles in 3D integrated circuits.

    Weldezion, A., Weerasekera, R., Pamunuwa, D. B., Zheng, L-R. & Tenhunen, H., 2009, Workshop Notes, Design, Automation and Test in Europe (DATE). Nice

    Research output: Contribution in Book/Report/Proceedings - With ISBN/ISSNChapter

  8. Published

    Closed-form equations for through-silicon via (TSV) parasitics in 3-D integrated circuits (ICs).

    Weerasekera, R., Pamunuwa, D. B., Grange, M., Tenhunen, H. & Zheng, L-R., 2009, Workshop Notes, Design, Automation and Test in Europe (DATE). Nice

    Research output: Contribution in Book/Report/Proceedings - With ISBN/ISSNChapter

  9. Published

    Design of robust molecular electronic circuits.

    Lei, C., Pamunuwa, D. B., Bailey, S. & Lambert, C., 2009, IEEE International Symposium on Circuits and Systems, 2009. ISCAS 2009.. Taiwan: IEEE, p. 1819-1822 4 p.

    Research output: Contribution in Book/Report/Proceedings - With ISBN/ISSNConference contribution/Paperpeer-review

  10. Published

    Designing reliable digital molecular electronic circuits.

    Lei, C., Pamunuwa, D. B., Bailey, S. & Lambert, C., 2009, Nano-Net 4th International ICST Conference, Nano-Net 2009, Lucerne, Switzerland, October 18-20, 2009. Proceedings. Schmid, A., Goel, S., Wang, W., Beiu, V. & Carrara, S. (eds.). Berlin: Springer, p. 111-115 5 p. (Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering).

    Research output: Contribution in Book/Report/Proceedings - With ISBN/ISSNConference contribution/Paperpeer-review

  11. Published

    Exploration of Through Silicon Via Interconnect Parasitics for 3-Dimensional Integrated Circuits

    Grange, M., Weerasekera, R., Pamunuwa, D. & Tenhunen, H., 2009, Workshop Notes, Design, Automation and Test in Europe (DATE). Nice

    Research output: Contribution in Book/Report/Proceedings - With ISBN/ISSNChapter

  12. Published

    Physical Mapping and Performance Study of a Multi-Clock 3-Dimensional Network-on-Chip Mesh.

    Grange, M., Weldezion, A. Y., Pamunuwa, D. B., Weerasekera, R., Zhonghai, L., Jantsch, A. & Shippen, D., 2009, Proceedings of the IEEE International Conference on 3D System Integration (3D IC), 2009. San Francisco: IEEE

    Research output: Contribution in Book/Report/Proceedings - With ISBN/ISSNChapter

  13. 2008
  14. Published

    Application of molecular electronics devices in digital circuit design.

    Lei, C., Pamunuwa, D. B., Bailey, S. & Lambert, C., 09/2008, Nano-Net Third International ICST Conference, NanoNet 2008, Boston, MA, USA, September 14-16, 2008, Revised Selected Papers. Cheng, M. (ed.). Berlin: Springer, p. 61-65 5 p. (Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering).

    Research output: Contribution in Book/Report/Proceedings - With ISBN/ISSNConference contribution/Paperpeer-review

  15. Published

    Minimal-power, delay-balanced smart repeaters for global interconnects in the nanometer regime.

    Weerasekera, R., Pamunuwa, D. B., Zheng, L-R. & Tenhunen, H., 05/2008, In: IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 16, 5, p. 589-593 5 p.

    Research output: Contribution to Journal/MagazineJournal articlepeer-review

  16. Published

    Memory technology for extended large-scale integration in future electronics applications.

    Pamunuwa, D. B., 03/2008, Proc. Design, Automation and Test in Europe (DATE) Conference. Munich: IEEE, p. 1126-1127 2 p.

    Research output: Contribution in Book/Report/Proceedings - With ISBN/ISSNChapter

  17. 2007
  18. Published

    Extending systems-on-chip to the third dimension : performance, cost and technological tradeoffs.

    Weerasekera, R., Zheng, L-R., Pamunuwa, D. B. & Tenhunen, H., 11/2007, Proc. IEEE/ACM International Conference on Computer-Aided Design (ICCAD). San Jose, California: IEEE, p. 212-219 8 p.

    Research output: Contribution in Book/Report/Proceedings - With ISBN/ISSNChapter

  19. Published

    Early selection of system implementation choice among SoC, SoP and 3-D integration.

    Weerasekera, R., Zheng, L-R., Pamunuwa, D. B. & Tenhunen, H., 09/2007, Proc. International System-On-Chip Conference (SOCC). Hsin Chu, Taiwan: IEEE

    Research output: Contribution in Book/Report/Proceedings - With ISBN/ISSNChapter

  20. Published

    Molecular electronics device modeling for system design.

    Lei, C., Pamunuwa, D. B., Bailey, S. & Lambert, C., 08/2007, Proc. IEEE International Conference on Nanotechnology. Hong Kong: IEEE, p. 1116-1119 4 p.

    Research output: Contribution in Book/Report/Proceedings - With ISBN/ISSNChapter

  21. Published

    Delay-balanced smart repeaters for on-chip global signaling.

    Weerasekera, R., Pamunuwa, D. B., Zheng, L-R. & Tenhunen, H., 12/02/2007, Proc. International Conference on VLSI Design. Bangalore: IEEE, p. 308-313 6 p.

    Research output: Contribution in Book/Report/Proceedings - With ISBN/ISSNChapter

  22. 2006
  23. Published

    Nanodevices : from novelty toys to functional devices - an integration perspective.

    Pamunuwa, D. & Weerasekera, R., 08/2006, Proceedings of the IEEE international conference on industrial and information systems.. p. 103 -108

    Research output: Contribution in Book/Report/Proceedings - With ISBN/ISSNChapter

  24. Published

    Minimal-power, delay-balanced smart repeaters for interconnects in the nanometer regime.

    Weerasekera, R., Pamunuwa, D. B., Zheng, L-R. & Tenhunen, H., 03/2006, Proc. International Workshop on System-level Interconnect Prediction (SLIP). Munich: ACM, p. 113-120 8 p.

    Research output: Contribution in Book/Report/Proceedings - With ISBN/ISSNChapter

  25. 2005
  26. Published

    Modelling delay and noise in arbitrarily coupled RC trees.

    Pamunuwa, D. B., 11/2005, In: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 24, 11, p. 1725-1739 15 p.

    Research output: Contribution to Journal/MagazineJournal articlepeer-review

  27. Published

    Switching sensitive driver circuit to combat dynamic delay in on-chip buses

    Weerasekera, R., Zheng, L-R., Pamunuwa, D. B., Tenhunen, H., Paliouras, V. (ed.), Vounckx, J. (ed.) & Verkest, D. (ed.), 09/2005, Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation: 15th International Workshop, PATMOS 2005, Leuven, Belgium, September 21-23, 2005. Proceedings. Paliouras, V., Vounckx, J. & Verkest, D. (eds.). Berlin: Springer, p. 277-285 9 p. (Lecture Notes in Computer Science; vol. 3728).

    Research output: Contribution in Book/Report/Proceedings - With ISBN/ISSNChapter

  28. 2004
  29. Published

    A study on the implementation of 2-D mesh-based networks-on-chip in the nanometre regime.

    Pamunuwa, D. B., Öberg, J., Millberg, M., Zheng, L-R., Jantsch, A. & Tenhunen, H., 1/10/2004, In: Integration, the VLSI Journal. 38, 1, p. 3-17 15 p.

    Research output: Contribution to Journal/MagazineJournal articlepeer-review

  30. Published

    Crosstalk immune interconnect driver design.

    Weerasekera, R., Pamunuwa, D. B., Zheng, L. R. & Tenhunen, H., 2004, Proceedings of the international symposium on system-on-chip conference. p. 139-142 4 p.

    Research output: Contribution in Book/Report/Proceedings - With ISBN/ISSNChapter

  31. 2003
  32. Published

    Maximizing throughput over parallel wire structures in the deep submicrometer regime.

    Pamunuwa, D. B., Tenhunen, H. & Zheng, L., 1/04/2003, In: IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 11, 2, p. 224-243 20 p.

    Research output: Contribution to Journal/MagazineJournal articlepeer-review

  33. Published

    Modelling noise and delay in VLSI circuits.

    Pamunuwa, D. B., Elassaad, S. & Tenhunen, H., 02/2003, In: Electronics Letters. 39, 3, p. 269-271 3 p.

    Research output: Contribution to Journal/MagazineJournal articlepeer-review

  34. Published

    A global wire planning scheme for Network-on-Chip.

    Liu, J., Zheng, L-R., Pamunuwa, D. B. & Tenhunen, H., 2003, Proceedings of the 2003 International Symposium on Circuits and Systems, 2003. ISCAS '03.. Vol. 4. p. IV-892-IV-895

    Research output: Contribution in Book/Report/Proceedings - With ISBN/ISSNChapter

  35. Published

    Analytic modeling of interconnects for deep submicron circuits.

    Pamunuwa, D. B., Elassaad, S. & Tenhunen, H., 2003, 2003 International Conference on Computer-Aided Design (ICCAD'03). San Jose, California: IEEE Computer Society, p. 835-842 8 p.

    Research output: Contribution in Book/Report/Proceedings - With ISBN/ISSNChapter

  36. Published

    Closed form metrics to accurately model the response in general arbitrarily-coupled RC trees.

    Pamunuwa, D. B. & Elassaad, S., 2003, Proceedings of the 2003 International Symposium on Circuits and Systems, 2003. ISCAS '03.. IEEE, Vol. 4. p. IV-604

    Research output: Contribution in Book/Report/Proceedings - With ISBN/ISSNChapter

  37. Published

    Layout, performance and power trade-offs in mesh-based network-on chip architectures.

    Pamunuwa, D. B., Öberg, J., Zheng, L-R., Millberg, M., Jantsch, A. & Tenhunen, H., 2003, Proc. IFIP International Conference on VLSI Systems-on-chip. Darmstadt, Germany: Technische Universität Darmstadt, Insitute of Microelectronic Systems, p. 362-366 5 p.

    Research output: Contribution in Book/Report/Proceedings - With ISBN/ISSNChapter

  38. Published

    Modelling and analysis of interconnects for deep submicron systems-on-chip.

    Pamunuwa, D. B., 2003, Stockholm: Royal Institute of Technology.

    Research output: Book/Report/ProceedingsBook

  39. 2002
  40. Published

    On dynamic delay and repeater insertion in distributed capacitively coupled interconnects.

    Pamunuwa, D. B. & Tenhunen, H., 2002, International Symposium on Quality Electronic Design, 2002. Proceedings.. IEEE, p. 240-245 6 p.

    Research output: Contribution in Book/Report/Proceedings - With ISBN/ISSNChapter

  41. Published

    On dynamic delay and repeater insertion.

    Tenhunen, H. & Pamunuwa, D. B., 2002, IEEE International Symposium on Circuits and Systems, 2002. IEEE, p. 97-100 4 p.

    Research output: Contribution in Book/Report/Proceedings - With ISBN/ISSNChapter

  42. Published

    Optimising bandwidth over deep sub-micron interconnect.

    Pamunuwa, D. B., Zheng, L-R. & Tenhunen, H., 2002, 2002 IEEE International Symposium on Circuits and Systems. IEEE, Vol. 4. p. IV-193

    Research output: Contribution in Book/Report/Proceedings - With ISBN/ISSNChapter

  43. 2001
  44. Published

    Repeater insertion to minimise delay in coupled interconnects.

    Pamunuwa, D. B. & Tenhunen, H., 2001, Fourteenth International Conference on VLSI Design, 2001.. Bangalore, India: IEEE, p. 513-517 5 p.

    Research output: Contribution in Book/Report/Proceedings - With ISBN/ISSNChapter

  45. 2000
  46. Published

    Accurate a priori signal integrity estimation using a multilevel dynamic interconnect model for deep submicron VLSI design.

    Zheng, L-R., Pamunuwa, D. B. & Tenhunen, H., 2000, Proceedings of the 26th European Solid-State Circuits Conference, 2000. ESSCIRC '00.. IEEE, p. 352-355 4 p.

    Research output: Contribution in Book/Report/Proceedings - With ISBN/ISSNChapter

  47. Published

    Combating digital noise in high speed ULSI circuits using binary BCH encoding.

    Pamunuwa, D. B., Zheng, L-R. & Tenhunen, H., 2000, The 2000 IEEE International Symposium on Circuits and Systems, 2000. Proceedings. ISCAS 2000 Geneva.. Geneva: IEEE, Vol. 4. p. 13-16 4 p.

    Research output: Contribution in Book/Report/Proceedings - With ISBN/ISSNChapter

  48. 1999
  49. Published

    Error-control coding to combat digital noise in interconnects for ULSI circuits.

    Pamunuwa, D. B., Zheng, L-R. & Tenhunen, H., 1999, Proc. IEEE Norchip Conference Oslo 1999. Norway: IEEE, p. 275-282 8 p.

    Research output: Contribution in Book/Report/Proceedings - With ISBN/ISSNChapter

Back to top