Home > Research > Publications & Outputs > ALEA

Electronic data

  • alea_taco

    Rights statement: © ACM, 2017. This is the author's version of the work. It is posted here by permission of ACM for your personal use. Not for redistribution. The definitive version was published in ACM Transactions on Architecture and Code Optimization (TACO) http://doi.acm.org/10.1145/3050436 14, 1, 2017

    Accepted author manuscript, 956 KB, PDF document

    Available under license: CC BY: Creative Commons Attribution 4.0 International License

Links

Text available via DOI:

View graph of relations

ALEA: a fine-grained energy profiling tool

Research output: Contribution to Journal/MagazineJournal articlepeer-review

Published
  • Lev Mukhanov
  • Pavlos Petoumenos
  • Zheng Wang
  • Nikos Parasyris
  • Dimitrios S. Nikolopoulos
  • Bronis R. de Supinski
  • Hugh Leather
Close
Article number1
<mark>Journal publication date</mark>1/03/2017
<mark>Journal</mark>ACM Transactions on Architecture and Code Optimization
Issue number1
Volume14
Number of pages25
Publication StatusPublished
<mark>Original language</mark>English

Abstract

Energy efficiency is becoming increasingly important, yet few developers understand how source code changes affect the energy and power consumption of their programs. To enable them to achieve energy savings, we must associate energy consumption with software structures, especially at the fine-grained level of functions and loops. Most research in the field relies on direct power/energy measurements taken from on-board sensors or performance counters. However, this coarse granularity does not directly provide the needed fine-grained measurements. This article presents ALEA, a novel fine-grained energy profiling tool based on probabilistic analysis for fine-grained energy accounting. ALEA overcomes the limitations of coarse-grained power-sensing instruments to associate energy information effectively with source code at a
fine-grained level. We demonstrate and validate that ALEA can perform accurate energy profiling at various granularity levels on two different architectures: Intel Sandy Bridge and ARM big.LITTLE. ALEA achieves a worst case error of only 2% for coarse-grained code structures and 6% for fine-grained ones, with less than 1% runtime overhead. Our use cases demonstrate that ALEA supports energy optimizations, with energy savings of up to 2.87 times for a latency-critical option pricing workload under a given power budget.

Bibliographic note

© ACM, 2017. This is the author's version of the work. It is posted here by permission of ACM for your personal use. Not for redistribution. The definitive version was published in ACM Transactions on Architecture and Code Optimization (TACO) http://doi.acm.org/10.1145/3050436 14, 1, 2017