Home > Research > Publications & Outputs > ALEA

Electronic data

  • alea_taco

    Rights statement: © ACM, 2017. This is the author's version of the work. It is posted here by permission of ACM for your personal use. Not for redistribution. The definitive version was published in ACM Transactions on Architecture and Code Optimization (TACO) http://doi.acm.org/10.1145/3050436 14, 1, 2017

    Accepted author manuscript, 956 KB, PDF document

    Available under license: CC BY: Creative Commons Attribution 4.0 International License

Links

Text available via DOI:

View graph of relations

ALEA: a fine-grained energy profiling tool

Research output: Contribution to Journal/MagazineJournal articlepeer-review

Published

Standard

ALEA: a fine-grained energy profiling tool. / Mukhanov, Lev; Petoumenos, Pavlos ; Wang, Zheng et al.
In: ACM Transactions on Architecture and Code Optimization, Vol. 14, No. 1, 1, 01.03.2017.

Research output: Contribution to Journal/MagazineJournal articlepeer-review

Harvard

Mukhanov, L, Petoumenos, P, Wang, Z, Parasyris, N, Nikolopoulos, DS, R. de Supinski, B & Leather, H 2017, 'ALEA: a fine-grained energy profiling tool', ACM Transactions on Architecture and Code Optimization, vol. 14, no. 1, 1. https://doi.org/10.1145/3050436

APA

Mukhanov, L., Petoumenos, P., Wang, Z., Parasyris, N., Nikolopoulos, D. S., R. de Supinski, B., & Leather, H. (2017). ALEA: a fine-grained energy profiling tool. ACM Transactions on Architecture and Code Optimization, 14(1), Article 1. https://doi.org/10.1145/3050436

Vancouver

Mukhanov L, Petoumenos P, Wang Z, Parasyris N, Nikolopoulos DS, R. de Supinski B et al. ALEA: a fine-grained energy profiling tool. ACM Transactions on Architecture and Code Optimization. 2017 Mar 1;14(1):1. doi: 10.1145/3050436

Author

Mukhanov, Lev ; Petoumenos, Pavlos ; Wang, Zheng et al. / ALEA : a fine-grained energy profiling tool. In: ACM Transactions on Architecture and Code Optimization. 2017 ; Vol. 14, No. 1.

Bibtex

@article{ce4a445c43044e8899767d3aeb6d650d,
title = "ALEA: a fine-grained energy profiling tool",
abstract = "Energy efficiency is becoming increasingly important, yet few developers understand how source code changes affect the energy and power consumption of their programs. To enable them to achieve energy savings, we must associate energy consumption with software structures, especially at the fine-grained level of functions and loops. Most research in the field relies on direct power/energy measurements taken from on-board sensors or performance counters. However, this coarse granularity does not directly provide the needed fine-grained measurements. This article presents ALEA, a novel fine-grained energy profiling tool based on probabilistic analysis for fine-grained energy accounting. ALEA overcomes the limitations of coarse-grained power-sensing instruments to associate energy information effectively with source code at afine-grained level. We demonstrate and validate that ALEA can perform accurate energy profiling at various granularity levels on two different architectures: Intel Sandy Bridge and ARM big.LITTLE. ALEA achieves a worst case error of only 2% for coarse-grained code structures and 6% for fine-grained ones, with less than 1% runtime overhead. Our use cases demonstrate that ALEA supports energy optimizations, with energy savings of up to 2.87 times for a latency-critical option pricing workload under a given power budget.",
author = "Lev Mukhanov and Pavlos Petoumenos and Zheng Wang and Nikos Parasyris and Nikolopoulos, {Dimitrios S.} and {R. de Supinski}, Bronis and Hugh Leather",
note = "{\textcopyright} ACM, 2017. This is the author's version of the work. It is posted here by permission of ACM for your personal use. Not for redistribution. The definitive version was published in ACM Transactions on Architecture and Code Optimization (TACO) http://doi.acm.org/10.1145/3050436 14, 1, 2017",
year = "2017",
month = mar,
day = "1",
doi = "10.1145/3050436",
language = "English",
volume = "14",
journal = "ACM Transactions on Architecture and Code Optimization",
issn = "1544-3566",
publisher = "Association for Computing Machinery (ACM)",
number = "1",

}

RIS

TY - JOUR

T1 - ALEA

T2 - a fine-grained energy profiling tool

AU - Mukhanov, Lev

AU - Petoumenos, Pavlos

AU - Wang, Zheng

AU - Parasyris, Nikos

AU - Nikolopoulos, Dimitrios S.

AU - R. de Supinski, Bronis

AU - Leather, Hugh

N1 - © ACM, 2017. This is the author's version of the work. It is posted here by permission of ACM for your personal use. Not for redistribution. The definitive version was published in ACM Transactions on Architecture and Code Optimization (TACO) http://doi.acm.org/10.1145/3050436 14, 1, 2017

PY - 2017/3/1

Y1 - 2017/3/1

N2 - Energy efficiency is becoming increasingly important, yet few developers understand how source code changes affect the energy and power consumption of their programs. To enable them to achieve energy savings, we must associate energy consumption with software structures, especially at the fine-grained level of functions and loops. Most research in the field relies on direct power/energy measurements taken from on-board sensors or performance counters. However, this coarse granularity does not directly provide the needed fine-grained measurements. This article presents ALEA, a novel fine-grained energy profiling tool based on probabilistic analysis for fine-grained energy accounting. ALEA overcomes the limitations of coarse-grained power-sensing instruments to associate energy information effectively with source code at afine-grained level. We demonstrate and validate that ALEA can perform accurate energy profiling at various granularity levels on two different architectures: Intel Sandy Bridge and ARM big.LITTLE. ALEA achieves a worst case error of only 2% for coarse-grained code structures and 6% for fine-grained ones, with less than 1% runtime overhead. Our use cases demonstrate that ALEA supports energy optimizations, with energy savings of up to 2.87 times for a latency-critical option pricing workload under a given power budget.

AB - Energy efficiency is becoming increasingly important, yet few developers understand how source code changes affect the energy and power consumption of their programs. To enable them to achieve energy savings, we must associate energy consumption with software structures, especially at the fine-grained level of functions and loops. Most research in the field relies on direct power/energy measurements taken from on-board sensors or performance counters. However, this coarse granularity does not directly provide the needed fine-grained measurements. This article presents ALEA, a novel fine-grained energy profiling tool based on probabilistic analysis for fine-grained energy accounting. ALEA overcomes the limitations of coarse-grained power-sensing instruments to associate energy information effectively with source code at afine-grained level. We demonstrate and validate that ALEA can perform accurate energy profiling at various granularity levels on two different architectures: Intel Sandy Bridge and ARM big.LITTLE. ALEA achieves a worst case error of only 2% for coarse-grained code structures and 6% for fine-grained ones, with less than 1% runtime overhead. Our use cases demonstrate that ALEA supports energy optimizations, with energy savings of up to 2.87 times for a latency-critical option pricing workload under a given power budget.

U2 - 10.1145/3050436

DO - 10.1145/3050436

M3 - Journal article

VL - 14

JO - ACM Transactions on Architecture and Code Optimization

JF - ACM Transactions on Architecture and Code Optimization

SN - 1544-3566

IS - 1

M1 - 1

ER -