Home > Research > Researchers > Dr Roshan Weerasekera > Publications

Dr Roshan Weerasekera

Formerly at Lancaster University

  1. 2004
  2. Published

    Crosstalk immune interconnect driver design.

    Weerasekera, R., Pamunuwa, D. B., Zheng, L. R. & Tenhunen, H., 2004, Proceedings of the international symposium on system-on-chip conference. p. 139-142 4 p.

    Research output: Contribution in Book/Report/Proceedings - With ISBN/ISSNChapter

  3. 2005
  4. Published

    Switching sensitive driver circuit to combat dynamic delay in on-chip buses

    Weerasekera, R., Zheng, L-R., Pamunuwa, D. B., Tenhunen, H., Paliouras, V. (ed.), Vounckx, J. (ed.) & Verkest, D. (ed.), 09/2005, Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation: 15th International Workshop, PATMOS 2005, Leuven, Belgium, September 21-23, 2005. Proceedings. Paliouras, V., Vounckx, J. & Verkest, D. (eds.). Berlin: Springer, p. 277-285 9 p. (Lecture Notes in Computer Science; vol. 3728).

    Research output: Contribution in Book/Report/Proceedings - With ISBN/ISSNChapter

  5. 2006
  6. Published

    Minimal-power, delay-balanced smart repeaters for interconnects in the nanometer regime.

    Weerasekera, R., Pamunuwa, D. B., Zheng, L-R. & Tenhunen, H., 03/2006, Proc. International Workshop on System-level Interconnect Prediction (SLIP). Munich: ACM, p. 113-120 8 p.

    Research output: Contribution in Book/Report/Proceedings - With ISBN/ISSNChapter

  7. Published

    Nanodevices : from novelty toys to functional devices - an integration perspective.

    Pamunuwa, D. & Weerasekera, R., 08/2006, Proceedings of the IEEE international conference on industrial and information systems.. p. 103 -108

    Research output: Contribution in Book/Report/Proceedings - With ISBN/ISSNChapter

  8. 2007
  9. Published

    Delay-balanced smart repeaters for on-chip global signaling.

    Weerasekera, R., Pamunuwa, D. B., Zheng, L-R. & Tenhunen, H., 12/02/2007, Proc. International Conference on VLSI Design. Bangalore: IEEE, p. 308-313 6 p.

    Research output: Contribution in Book/Report/Proceedings - With ISBN/ISSNChapter

  10. Published

    Early selection of system implementation choice among SoC, SoP and 3-D integration.

    Weerasekera, R., Zheng, L-R., Pamunuwa, D. B. & Tenhunen, H., 09/2007, Proc. International System-On-Chip Conference (SOCC). Hsin Chu, Taiwan: IEEE

    Research output: Contribution in Book/Report/Proceedings - With ISBN/ISSNChapter

  11. Published

    Extending systems-on-chip to the third dimension : performance, cost and technological tradeoffs.

    Weerasekera, R., Zheng, L-R., Pamunuwa, D. B. & Tenhunen, H., 11/2007, Proc. IEEE/ACM International Conference on Computer-Aided Design (ICCAD). San Jose, California: IEEE, p. 212-219 8 p.

    Research output: Contribution in Book/Report/Proceedings - With ISBN/ISSNChapter

  12. 2008
  13. Published

    Minimal-power, delay-balanced smart repeaters for global interconnects in the nanometer regime.

    Weerasekera, R., Pamunuwa, D. B., Zheng, L-R. & Tenhunen, H., 05/2008, In: IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 16, 5, p. 589-593 5 p.

    Research output: Contribution to Journal/MagazineJournal articlepeer-review

  14. 2009
  15. Published

    Bandwidth optimization for through silicon via (TSV) bundles in 3D integrated circuits.

    Weldezion, A., Weerasekera, R., Pamunuwa, D. B., Zheng, L-R. & Tenhunen, H., 2009, Workshop Notes, Design, Automation and Test in Europe (DATE). Nice

    Research output: Contribution in Book/Report/Proceedings - With ISBN/ISSNChapter

  16. Published

    Closed-form equations for through-silicon via (TSV) parasitics in 3-D integrated circuits (ICs).

    Weerasekera, R., Pamunuwa, D. B., Grange, M., Tenhunen, H. & Zheng, L-R., 2009, Workshop Notes, Design, Automation and Test in Europe (DATE). Nice

    Research output: Contribution in Book/Report/Proceedings - With ISBN/ISSNChapter

Previous 1 2 Next

Back to top